5b6b碼的編碼仿真與實現課程設計報告_第1頁
已閱讀1頁,還剩22頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p>  課 程 設 計 報 告</p><p>  題 目:_ 5B6B編碼的仿真與實現 __</p><p>  系 別:__ 電子信息與電氣工程系 __</p><p>  專 業(yè):___ 通信工程___ _______</p><p>  班 級:____ _

2、10通信2班_______ _</p><p>  學 號:_ _</p><p>  姓 名:__ __</p><p>  導 師:_____ ______ _ ___</p><p>  成 績:____________ ___

3、_________</p><p>  2013年 12月 07日</p><p>  《現代通信技術課程設計》</p><p><b>  目錄</b></p><p>  一、軟件硬件開發(fā)平臺簡介2</p><p>  1.1 Quartus2軟件簡述3</p><p&

4、gt;  1.2 FPGA系統開發(fā)流程簡介4</p><p>  1.2.1 電路設計4</p><p>  1.2.2 設計輸入4</p><p>  1.2.3 功能仿真5</p><p>  1.2.4 綜合優(yōu)化5</p><p>  1.2.5 綜合后仿真6</p><p>

5、  1.2.6 實現與布局布線6</p><p>  二、5B6B編碼仿真及其設計步驟6</p><p>  2.1 5B6B編碼原理6</p><p>  2.1.1 5B6B編碼原理7</p><p>  2.1.2 5B6B碼表設計7</p><p>  2.2 5B6B編碼模塊設計8</p&g

6、t;<p>  2.2.1 編碼器的工作原理8</p><p>  2.2.2 編碼電路的電路模塊劃分9</p><p>  2.3 5B6B編碼器在FPGA中的實現9</p><p>  2.4 整體電路的仿真實現11</p><p>  2.4.1 整體電路的頂層圖11</p><p>  

7、2.4.2 整體電路的仿真11</p><p><b>  三、結束語11</b></p><p><b>  參考文獻11</b></p><p>  5B6B碼編碼的仿真與實現</p><p>  摘要:在數字通信系統中,數字光纖通信由于其本身的優(yōu)點得到越來越廣泛的應用。數字光纖通信系統中

8、,從電端機傳輸過來的電信號均要結合數字光纖通信傳輸的特點經過線路碼型的轉換。通過線路碼型的轉變平衡數字碼流中的“0”和“1”碼字,從而避免碼流中出現長“0”或者長“1”的現象。在數字光纖通信系統中比較常用的線路碼型就是mBnB碼型,mBnB線路碼型的最大優(yōu)點就是最大相同碼元連碼和少、定時信息豐富、并且有簡單成熟的誤碼監(jiān)測與碼組同步的方法。</p><p>  關鍵詞:5B6B編碼; VHDL語言; FPGA ;

9、Quartus2 ;仿真;</p><p><b>  正文:</b></p><p>  一、軟件硬件開發(fā)平臺簡介</p><p>  由于5B6B碼編碼的設計與仿真是要軟件做支持和要硬件做平臺。所以在設計之前,先介紹一下常用軟件Quartus2的使用,接著在介紹一下FPGA系統開發(fā)過程。方便下面的說明。</p><p&g

10、t;  1.1 Quartus2軟件簡述</p><p>  圖1 Quartus2軟件界面</p><p>  Quartus II 是Altera公司的綜合性PLD開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設計輸入形式,內嵌自有的綜合器以及仿真器,可以完成從設計輸入到硬件配置的完整P

11、LD設計流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl腳本完成設計流程外,提供了完善的用戶圖形界面設計方式。具有運行速度快,界面統一,功能集中,易學易用等特點。Quartus II支持Altera的IP核,含LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設計的復雜性、加快了設計速度。對第三方EDA工具的良好支持也使用戶可以在設計流程的各個階段使用熟悉的第三放EQ

12、uartus II 是Altera公司的綜合性PLD開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDLDA工具。此外,Quartus II 通過和DSP Builder工具與Matlab/Simulink相結合,可以方便地實現各種DSP應用系統;支持Altera的片上</p><p>  Altera的Quartus II可編程邏輯軟件屬于第四代PLD開發(fā)平臺。該平臺支持一個工作組環(huán)境下的設計要求,

13、其中包括支持基于Internet的協作設計。Quartus平臺與Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供應商的開發(fā)工具相兼容。改進了軟件的LogicLock模塊設計功能,增添 了FastFit編譯選項,推進了網絡編輯性能,而且提升了調試。支持 MAX7000/MAX3000等乘積項器件QuartusⅡ軟件包是MAX+plusⅡ的升級版本,Altera公司

14、的第四代開發(fā)軟件。其提供了一個完整高效的設計環(huán)境,非常適應具體的設計需要。QuartusⅡ提供了方便的設計輸入方式、快速的編譯和直接易懂的器件編程。能夠支持邏輯門數在百萬門以上的邏輯器件的開發(fā),并且為第三方工具提供了無縫接口。QuartusⅡ支持的器件有:Stratix Ⅱ、Stratix GX、Stratix、Mercury、MAX3000A、MAX 7000B、MAX 7000S、MAX 7000AE、MAX Ⅱ、FLEX6000、

15、FLEX</p><p>  1.2 FPGA系統開發(fā)流程簡介</p><p>  由于5B6B碼譯碼的設計與FPGA系統的設計有相似之處,所以在這里對FPGA系統的開發(fā)流程做簡要介紹。</p><p>  1.2.1 電路設計</p><p>  在系統設計之前,首先要進行的是方案論證、系統設計和FPGA芯片選擇等準備工作。系統工程師根據任

16、務要求,如系統的指標和復雜度,對工作速度和芯片本身的各種資源、成本等方面進行權衡,選擇合理的設計方案和合適的器件類型。一般都采用自頂向下的設計方法,把系統分成若干個基本單元,然后再把每個基本單元劃分為下一層次的基本單元,一直這樣做下去,直到可以直接使用EDA元件庫為止。 </p><p>  1.2.2 設計輸入 </p><p>  設計輸入是將所設計的系統或電路以開發(fā)軟件要求的某種形式

17、表示出來,并輸入給EDA工具的過程。常用的方法有硬件描述語言(HDL)和原理圖輸入方法等。原理圖輸入方式是一種最直接的描述方式,在可編程芯片發(fā)展的早期應用比較廣泛,它將所需的器件從元件庫中調出來,畫出原理圖。這種方法雖然直觀并易于仿真,但效率很低,且不易維護,不利于模塊構造和重用。更主要的缺點是可移植性差,當芯片升級后,所有的原理圖都需要作一定的改動。目前,在實際開發(fā)中應用最廣的就是HDL語言輸入法,利用文本描述設計,可以分為普通HDL

18、和行為HDL。普通HDL有ABEL、CUR等,支持邏輯方程、真值表和狀態(tài)機等表達方式,主要用于簡單的小型設計。而在中大型工程中,主要使用行為HDL,其主流語言是Verilog HDL和VHDL。這兩種語言都是美國電氣與電子工程師協會(IEEE)的標準,其共同的突出特點有:語言與芯片工藝無關,利于自頂向下設計,便于模塊的劃分與移植,可移植性好,具有很強的邏輯描述和仿真功能,而且輸入效率很高。</p><p>  1

19、.2.3 功能仿真 </p><p>  功能仿真,也稱為前仿真,是在編譯之前對用戶所設計的電路進行邏輯功能驗證,此時的仿真沒有延遲信息,僅對初步的功能進行檢測。仿真前,要先利用波形編輯器和HDL等建立波形文件和測試向量(即將所關心的輸入信號組合成序列),仿真結果將會生成報告文件和輸出信號波形,從中便可以觀察各個節(jié)點信號的變化。如果發(fā)現錯誤,則返回設計修改邏輯設計。常用的工具有Model Tech公司的Model

20、Sim、Sysnopsys公司的VCS和Cadence公司的NC-Verilog以及NC-VHDL等軟件。 </p><p>  1.2.4 綜合優(yōu)化 </p><p>  所謂綜合就是將較高級抽象層次的描述轉化成較低層次的描述。綜合優(yōu)化根據目標與要求優(yōu)化所生成的邏輯連接,使層次設計平面化,供FPGA布局布線軟件進行實現。就目前的層次來看,綜合優(yōu)化(Synthesis)是指將設計輸入編譯成

21、由與門、或門、非門、RAM、觸發(fā)器等基本邏輯單元組成的邏輯連接網表,而并非真實的門級電路。真實具體的門級電路需要利用FPGA制造商的布局布線功能,根據綜合后生成的標準門級結構網表來產生。為了能轉換成標準的門級結構網表,HDL程序的編寫必須符合特定綜合器所要求的風格。由于門級結構、RTL級的HDL程序的綜合是很成熟的技術,所有的綜合器都可以支持到這一級別的綜合。常用的綜合工具有Synplicity公司的Synplify/Synplify

22、Pro軟件以及各個FPGA廠家自己推出的綜合開發(fā)工具。 </p><p>  1.2.5 綜合后仿真 </p><p>  綜合后仿真檢查綜合結果是否和原設計一致。在仿真時,把綜合生成的標準延時文件反標注到綜合仿真模型中去,可估計門延時帶來的影響。但這一步驟不能估計線延時,因此和布線后的實際情況還有一定的差距,并不十分準確。目前的綜合工具較為成熟,對于一般的設計可以省略這一步,但如果在布局

23、布線后發(fā)現電路結構和設計意圖不符,則需要回溯到綜合后仿真來確認問題之所在。在功能仿真中介紹的軟件工具一般都支持綜合后仿真。 </p><p>  1.2.6 實現與布局布線 </p><p>  實現是將綜合生成的邏輯網表配置到具體的FPGA芯片上,布局布線是其中最重要的過程。布局將邏輯網表中的硬件原語和底層單元合理地配置到芯片內部的固有硬件結構上,并且往往需要在速度最優(yōu)和面積最優(yōu)之間作出

24、選擇。布線根據布局的拓撲結構,利用芯片內部的各種連線資源,合理正確地連接各個元件。目前,FPGA的結構非常復雜,特別是在有時序約束條件時,需要利用時序驅動的引擎進行布局布線。布線結束后,軟件工具會自動生成報告,提供有關設計中各部分資源的使用情況。由于只有FPGA芯片生產商對芯片結構最為了解,所以布局布線必須選擇芯片開發(fā)商提供的工具。 </p><p>  二、5B6B編碼仿真及其設計步驟</p>&

25、lt;p>  在電力系統通信中,數字光纖通信由于其本身的優(yōu)點得到越來越廣泛的應用。數字光纖通信系統中,從電端機傳輸過來的電信號均要結合數字光纖通信傳輸的特點經過線路碼型的轉換。通過線路碼型的轉變平衡數字碼流中的“0”和“1”碼字,從而避免碼流中出現長“0”或者長“1”的現象。在數字光纖通信系統中比較常用的線路碼型就是mBnB碼型,mBnB線路碼型的最大優(yōu)點就是最大相同碼元連碼和少、定時信息豐富、并且有簡單成熟的誤碼監(jiān)測與碼組同步的

26、方法。</p><p>  2.1 5B6B編碼原理</p><p>  5B6B編碼,簡單的講就是將5B的數據怎樣變成是6B的數據。5B6B編碼的實現方案主要有兩種:用MATLAB軟件或硬件描述語言實現。這里選擇用FPGA實現。</p><p>  2.1.1 5B6B編碼原理</p><p>  mBnB碼的構成方法就是:首先將要編碼的二

27、進制碼流每m個碼元分成一組,然后在每個碼組的時隙內按一定編碼規(guī)則選擇一個相應的n位碼組代替原m位碼組。大多數的mBnB碼都采用兩種編碼模式,以便更換其中數字和d較大的碼組。5B6B碼型有很多種組成方法,按照CCITT的建議,本文采用是碼表5B6B-5,這個碼表取數字和d=0的碼組20個,而d=±2碼組各取13個。這樣在5B6B碼流中相同數字碼元連碼和小于5,數字連碼和變差小于3。</p><p>  2

28、.1.2 5B6B碼表設計</p><p><b>  碼表設計的原則是:</b></p><p> ?、?“0”碼和“1”碼出現的概率相等</p><p> ?、?減小最大同符號連續(xù)數的數目</p><p> ?、?選取游程差值D值小的碼組</p><p>  為了達到以上設計目標,引入模式

29、概念。6B碼有模式1和模式2。定義模式1為正,模式2為負;模式1和模式2交替出現。設計的碼表為:</p><p><b>  表1 5B6B碼表</b></p><p>  2.2 5B6B編碼模塊設計</p><p>  2.2.1 編碼器的工作原理</p><p>  5B6B碼型的編碼電路包括串/并變換電路、緩存電

30、路、編碼電路、并/串變換、變頻器等部分,如下圖所示:</p><p><b>  圖2 編碼原理圖</b></p><p>  編碼電路的工作原理是本地晶振產生一個標準的時鐘,用變頻器產生供譯碼電路和輸出電路工作的時鐘,他們之間的關系是 。緩存器把輸入的五位碼元保存起來作為編碼器的輸入,在編碼器電路中,按照議定的5B6B-5碼表來產生相應的六位碼元。這六位碼元在6B時

31、鐘脈沖的驅動下通過并/串變換電路來輸出。</p><p>  2.2.2 編碼電路的電路模塊劃分</p><p>  在實際設計中,應結合實際和VHDL語言的描述特性,可把編碼電路劃分為以下模塊。它們分別是:串并變換模塊、編碼模塊、并串變換模塊、序列生成模塊和其他相關模塊(緩沖電路設計等配套模塊)。以下重點介紹前四模塊的設計思路,后幾個模塊會給出程序。</p><p&g

32、t;  (1) 序列產生模塊 該模塊首先通過按鍵cout控制,當cout為0時清零,cout為2時輸出序列一個24位的序列,由于在設計時采用了循環(huán)技術,又避免了信號的重疊和覆蓋 ,所以將產生所需要的6B序列??梢酝ㄟ^按鍵的值來控制輸出的序列值。</p><p> ?。?)串并變換模塊[7]的主要功能是將串行輸入的碼流并行輸出。對于6B碼流來說,在進行查表之前必須要先將其轉換成6BITS每組的并行碼流,然后把并行

33、的6B碼組收集起來,進行查表操作。對于5B碼流,即為轉換成5BITS每組的并行碼流。</p><p> ?。?)編碼模塊主要負責輸入的并行碼組的編碼。</p><p> ?。?)并串變換模塊的主要功能是將并行的碼流串行輸出,具體功能和實現方法與串并變換模塊的功能相近,在此不再說明了。</p><p>  2.3 5B6B編碼器在FPGA中的實現</p>

34、<p>  在quartus2里面用VHDL語言來設計5B6B碼的編碼器,采用了由頂向下的設計方法(參見圖3中的b5b6_code元件圖),在這個5B6B編碼器中,data_in表示碼流的輸入,clk_5b、clk_6b分別是作為5b、6b碼時鐘脈沖的輸入信號,reset信號是系統的復位信號,data_out是編碼后碼流的輸出。用VHDL語言描述的時候,里面的模塊又可分成幾個進程(process):第一個process處理輸

35、入碼流的移位以及主要的復位功能,相當于編碼原理中的串/并變換電路以及緩存電路;第二個process進程處理碼流的編碼功能,相當于原理中的編碼電路,在這個process中由于存在模式的轉換的問題,所以定義了一個模式標志位(model_state),無論在模式1還是在模式2下,當碼組的數字和d=0時,model_state不會改變,如果碼組的數字和d=±2的時候,那么就改變model_state的值,從而實現數字和±2的

36、相互更替,這樣就保證了碼字“0”和碼字“1”的平衡;第三個process處理碼組的輸出,相當于原理中的并/串變換電路。</p><p>  圖3 b5b6_code元件圖</p><p>  quartus2下編碼器的仿真波形圖如下所示:</p><p>  圖4 編碼器的仿真波形圖</p><p>  仿真的時候采取了100MHz的clk時

37、鐘信號,用altpll來產生用于編碼器的5B信號的時鐘clk_5b和用于輸出六位碼流的時鐘clk_6b,其中產生了55ns的延時,信號的輸入是在80ns才輸入的,那么就產生了怎么樣來判斷什么時刻是數據傳輸的開始,為了方便仿真,本設計采用了第一輸入11111,這樣只要輸入的數據中有一個不是0,那么就開始數據的傳輸和處理。從圖中可以得出編碼器的輸出波形bianma_out符合編碼的要求。</p><p>  2.4

38、整體電路的仿真實現</p><p>  2.4.1 整體電路的頂層圖</p><p>  圖5 整體電路的頂層圖</p><p>  2.4.2 整體電路的仿真</p><p>  仿真結果如下圖所示:</p><p>  圖6 整體電路的仿真波形圖</p><p><b>  三、結

39、束語</b></p><p>  本文介紹一種簡單的5B6B編碼方法,并利用VHDL 語言實現了5B6B編碼譯碼的全數字設計,具有了編碼譯碼的最基本的功能,今后的改進就是在此基礎上改進同步信號的提取以及誤碼的監(jiān)測,讓這個設計更加完善。</p><p>  第一次采用FPGA對5B6B碼型來編碼,全部由 Verilog編程實現,整個設計已通過Quartus2的功能仿真和時序仿真的

40、驗證。</p><p>  本次的課程設計是第一次進行一個大的系統進行設計,對于我們來說,還是頗有難度的。在最開始選擇是用matlab還是vhdl語言進行設計時,我們選擇使用VHDL語言進行這次課程設計,硬件描述語言自頂向下的設計方式優(yōu)勢很多。在實驗中也遇到了一些小的問題,最終和同伴、老師探討,疑問得以解決,在此感謝熱心的同伴們和不厭其煩的老師對我們的幫助!</p><p><b&g

41、t;  參考文獻</b></p><p>  [1]李勇權, 劉永強, 何云狀, 樊建明.一種基于FPGA的5B6B編譯碼的實現[J]. 微計算機信息 , 2007,(14)</p><p>  [2] 張少鋒, 楊章順, 戴琦, 齊恒, 冉立新. 光纖數字通信系統5B6B編譯碼的FPGA實現[J]. 光通信技術 , 2004,(12)</p><p>

42、  [3] 劉增基,周洋溢,胡遼林,周綺麗. 光纖通信 (第二版) [M]. 西安:西安電子科技大學出版社,2008.12 </p><p>  [4] 劉紹漢,劉新民,林杜生. VHDL芯片設計[M]. 北京:清華大學出版社,2004.</p><p>  [5] 南利平,李光華,張晨燕,王亞飛. 通信原理簡明教程:第二版 [M]. 北京:清華大學出版社,2007.8.</p>

43、;<p>  [6] 楊祥林. 光纖通信系統[M]. 北京:國防工業(yè)出版社,2000.1.</p><p><b>  附錄:程序代碼</b></p><p>  五分頻器五分頻:序列發(fā)生器的輸入時鐘脈沖五分頻從而可以實現每輸入5BIT的串行信號就同步轉換輸出出五位的并行信號實現時鐘信號的同步。 </p><p><b>

44、;  具體程序如下:</b></p><p>  library ieee; </p><p>  use ieee.std_logic_1164.all; </p><p>  use ieee.std_logic_unsigned.all; </p><p>  entity cnt5 is </p><p

45、>  port(clk5:in std_logic; </p><p>  newclk1:out std_logic); </p><p><b>  end; </b></p><p>  architecture exam of CNT5 is </p><p>  signal q:integer rang

46、e 0 to 4; </p><p>  signal temp: std_logic; </p><p>  begin process(clk5) </p><p><b>  begin </b></p><p>  if clk5'event and clk5='1' then --表

47、示檢測clock的上升沿 </p><p>  if q=4 then </p><p>  q<=0; temp<='1'; </p><p>  else q<=q+1;temp<='0'; </p><p><b>  end if; </b></p&

48、gt;<p>  end if; </p><p>  end process; </p><p>  newclk1<=temp; --newclk1是用于五串變五并模塊和編碼部分的控制時鐘 </p><p>  end exam; </p><p>  串并轉換:5位串變并用循環(huán)移位實現Clk0來一次有效,Y接收

49、串行的數據就向右移一位當五分頻的信號clk5有效時把Y輸出。</p><p>  編碼部分五串變五并程序: </p><p>  library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity SER2PAR5to5 is port(clk5:in std_logic; shif

50、t_in:in std_logic; A:out std_logic_vector(4 downto 0)); end ; architecture one of SER2PAR5to5 is signal q: std_logic_vector(4 downto 0); begin p1:process(clk5) begin if clk5'event and clk5='1' then q

51、<=shift_in & q(4 downto 1); end if; end process p1; A<=q; end one;</p><p>  編碼:根據上面的碼表確定5位碼對應的6位碼利用類似查表的方法把輸入的五位數據當作rom中的地址然后輸出對應的6位碼。 </p><p><b>  編碼部分程序:</b></p>

52、;<p>  LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY PROM5_6 IS PORT(Y:IN STD_LOGIC_VECTOR(4 DOWNTO 0); CLK6,F:IN STD_LOGIC; </p><p>  Q:OUT STD_LOGIC_VECTOR(

53、5 DOWNTO 0)); </p><p><b>  END ; </b></p><p>  ARCHITECTURE ONE OF PROM5_6 IS </p><p>  SIGNAL REG:STD_LOGIC_VECTOR(5 DOWNTO 0); </p><p><b>  BEGIN &l

54、t;/b></p><p>  P1:PROCESS(Y,F) </p><p><b>  BEGIN </b></p><p>  if F='0' then --模式一部分 </p><p>  case Y is </p><p>  when "

55、;00000"=>REG<="110010"; </p><p>  when "00001"=>REG<="110011"; </p><p>  when "00010"=>REG<="110110"; </p><p&g

56、t;  when "00011"=>REG<="100011"; </p><p>  when "00100"=>REG<="110101"; </p><p>  when "00101"=>REG<="100101"; </

57、p><p>  when "00110"=>REG<="100110"; </p><p>  when "00111"=>REG<="100111"; </p><p>  when "01000"=>REG<="10101

58、1"; </p><p>  when "01001"=>REG<="101001"; </p><p>  when "01010"=>REG<="101010"; </p><p>  when "01011"=>REG&l

59、t;="001011"; </p><p>  when "01100"=>REG<="101100"; </p><p>  when "01101"=>REG<="101101"; </p><p>  when "01110&q

60、uot;=>REG<="101110"; </p><p>  when "01111"=>REG<="001110"; </p><p>  when "10000"=>REG<="110001"; </p><p>  when

61、 "10001"=>REG<="111001"; </p><p>  when "10010"=>REG<="111010"; </p><p>  when "10011"=>REG<="010011"; </p>&

62、lt;p>  when "10100"=>REG<="110100"; </p><p>  when "10101"=>REG<="010101"; </p><p>  when "10110"=>REG<="010110";

63、 </p><p>  when "10111"=>REG<="010111"; </p><p>  when "11000"=>REG<="111000"; </p><p>  when "11001"=>REG<="

64、;011001"; </p><p>  when "11010"=>REG<="011010"; </p><p>  when "11011"=>REG<="011011"; </p><p>  when "11100"=>

65、;REG<="011100"; </p><p>  when "11101"=>REG<="011101"; </p><p>  when "11110"=>REG<="011110"; </p><p>  when "1

66、1111"=>REG<="001101"; </p><p>  WHEN OTHERS=>REG<=NULL; </p><p>  end case; </p><p><b>  end if; </b></p><p>  if F='1' t

67、hen --模式二部分 </p><p>  case Y is </p><p>  when "00000"=>REG<="110010"; </p><p>  when "00001"=>REG<="100001"; </p><

68、p>  when "00010"=>REG<="100010"; </p><p>  when "00011"=>REG<="100011"; </p><p>  when "00100"=>REG<="100100"; &l

69、t;/p><p>  when "00101"=>REG<="100101"; </p><p>  when "00110"=>REG<="100110"; </p><p>  when "00111"=>REG<="00

70、0111"; </p><p>  when "01000"=>REG<="101000"; </p><p>  when "01001"=>REG<="101001"; </p><p>  when "01010"=>RE

71、G<="101010"; </p><p>  when "01011"=>REG<="001011"; </p><p>  when "01100"=>REG<="101100"; </p><p>  when "0110

72、1"=>REG<="000101"; </p><p>  when "01110"=>REG<="000110"; </p><p>  when "01111"=>REG<="001110"; </p><p>  w

73、hen "10000"=>REG<="110001"; </p><p>  when "10001"=>REG<="010001"; </p><p>  when "10010"=>REG<="010010"; </p>

74、<p>  when "10011"=>REG<="010011"; </p><p>  when "10100"=>REG<="110100"; </p><p>  when "10101"=>REG<="010101&quo

75、t;; </p><p>  when "10110"=>REG<="010110"; </p><p>  when "10111"=>REG<="010100"; </p><p>  when "11000"=>REG<=&q

76、uot;011000"; </p><p>  when "11001"=>REG<="011001"; </p><p>  when "11010"=>REG<="011010"; </p><p>  when "11011"=

77、>REG<="001010"; </p><p>  when "11100"=>REG<="011100"; </p><p>  when "11101"=>REG<="001001"; </p><p>  when &quo

78、t;11110"=>REG<="001100"; </p><p>  when "11111"=>REG<="001101"; </p><p>  WHEN OTHERS=>REG<=NULL; </p><p>  end case; </p>

79、<p><b>  end if; </b></p><p>  END PROCESS P1; </p><p>  P2:PROCESS(CLK6) </p><p><b>  BEGIN </b></p><p>  IF CLK6'EVENT AND CLK6=

80、9;1' THEN </p><p><b>  Q<=REG; </b></p><p><b>  END IF; </b></p><p>  END PROCESS P2; </p><p>  END ONE; </p><p>  六分頻器:序列發(fā)

81、生器的輸入時鐘脈沖六分頻從而可以實現每輸入6BIT的并行信號就將其轉換給變量。</p><p><b>  具體程序如下: </b></p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity C

82、NT6 is port(clk6:in std_logic; cnter:out std_logic); end; </p><p>  architecture exam of CNT6 is signal q:std_logic_vector(2 downto 0); signal temp: std_logic; begin process(clk6) </p><p>

83、;<b>  begin </b></p><p>  if clk6'event and clk6='1' then </p><p>  if q="101" then </p><p>  q<="000"; temp<='1'; </p&g

84、t;<p>  else q<=q+1; temp<='0'; </p><p><b>  end if; </b></p><p>  end if; </p><p>  end process; </p><p>  cnter<=temp; </p&g

85、t;<p>  end exam; </p><p>  六位并變串轉換:把編碼實現的6位碼由并行轉換為串行輸出。 </p><p><b>  具體程序如下:</b></p><p>  library ieee; </p><p>  use ieee.std_logic_1164.all; </

86、p><p>  entity PAR2SER6to6 is </p><p><b>  port ( </b></p><p>  clk0,clk6: in std_logic; </p><p>  Q : in std_logic_vector(5 downto 0); </p><p>  

87、shift_out : out std_logic); </p><p>  end PAR2SER6to6; </p><p>  architecture one of PAR2SER6to6 is </p><p>  signal N:INTEGER RANGE 0 TO 5; </p><p>  signal REG:std_

88、logic_vector(5 downto 0); </p><p><b>  begin </b></p><p>  p1:process(clk6,Q) </p><p><b>  begin </b></p><p>  if clk6'event and clk6='1

89、' then </p><p><b>  REG<=Q; </b></p><p><b>  end if; </b></p><p>  end process p1; </p><p>  PROCESS(CLK0,REG,N) BEGIN </p><p

90、>  IF CLK0'EVENT AND CLK0='1'THEN </p><p>  IF N=5 THEN N<=0; </p><p>  ELSE N<=N+1; </p><p><b>  END IF; </b></p><p><b>  END IF

91、; </b></p><p>  case N is </p><p>  when 0=>shift_out<=REG(0); </p><p>  when 1=>shift_out<=REG(1); </p><p>  when 2=>shift_out<=REG(2); </p&

92、gt;<p>  when 3=>shift_out<=REG(3); </p><p>  when 4=>shift_out<=REG(4); </p><p>  when 5=>shift_out<=REG(5); </p><p>  when others=>shift_out<='X

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論