eda交通燈課程設(shè)計(jì)_第1頁(yè)
已閱讀1頁(yè),還剩9頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  EDA交通燈課程設(shè)計(jì)</p><p><b>  姓名:XXX</b></p><p>  學(xué)號(hào):*********</p><p>  專(zhuān)業(yè):*******</p><p>  學(xué)院:電氣與信息工程學(xué)院</p><p>  1 系統(tǒng)功能設(shè)計(jì)要求</p><

2、;p>  1. 東西各設(shè)有一個(gè)綠、黃、紅指示燈;一個(gè)2位7段數(shù)碼管</p><p> ?。?)南北和東西方向各有一組綠,黃,紅燈,各自的持續(xù)時(shí)間分別為20s,5s,25s;</p><p> ?。?)當(dāng)有特殊情況時(shí),兩個(gè)方向均為紅燈,計(jì)時(shí)暫停,當(dāng)特殊情況結(jié)束后,控制器恢復(fù)原來(lái)狀態(tài),繼續(xù)正常工作。</p><p>  (3)用兩組數(shù)碼管,以倒計(jì)時(shí)方式顯示兩個(gè)方向

3、允許通行或禁止通行的剩余時(shí)間。</p><p>  3. 能實(shí)現(xiàn)正常的倒計(jì)時(shí)顯示功能。能實(shí)現(xiàn)總體清理功能,計(jì)數(shù)器由初始狀態(tài)開(kāi)始計(jì)數(shù),對(duì)應(yīng)狀態(tài)的指示燈亮。</p><p><b>  2 設(shè)計(jì)原理</b></p><p>  2.1 交通燈控制器的狀態(tài)轉(zhuǎn)換</p><p>  根據(jù)論文題目要求,將紅綠燈的狀態(tài)轉(zhuǎn)換列成如下表

4、</p><p>  上表為交通燈控制器的狀態(tài)轉(zhuǎn)換表</p><p><b>  2.2設(shè)計(jì)方案</b></p><p>  1、顯示模塊由兩部分組成,一是由七段數(shù)碼管組成的倒計(jì)時(shí)顯示器,每個(gè)方向兩個(gè)七段數(shù)碼管;二是由發(fā)光二極管代替的交通燈,每個(gè)方向3個(gè)發(fā)光二極管。</p><p>  2、每個(gè)方向有一組2位倒計(jì)時(shí)器模塊

5、,用以顯示該方向交通燈剩余的點(diǎn)亮?xí)r間。</p><p>  3、控制模塊是交通燈的核心,主要控制交通燈按工作順序自動(dòng)變換,同時(shí)控制倒計(jì)時(shí)模塊工作,每當(dāng)?shù)褂?jì)時(shí)回零時(shí),控制模塊接收到一個(gè)計(jì)時(shí)信號(hào),從而控制交通燈進(jìn)入下一個(gè)工作狀態(tài)。</p><p>  2.2 LED動(dòng)態(tài)顯示與頻率</p><p>  由于交通燈需要使用2位7段LED數(shù)碼管指示通行剩余時(shí)間,故采用LED動(dòng)

6、態(tài)掃描方式顯示當(dāng)前時(shí)間。 </p><p>  頻率設(shè)定CLK1k對(duì)應(yīng)的頻率為1024hz </p><p><b>  3 電路符號(hào)</b></p><p>  交通控制器的電路符號(hào)如圖1.3所示。其中,CLK1K為系統(tǒng)時(shí)鐘信號(hào)輸入端,SN為禁止通行信號(hào)輸入通行信號(hào)輸入端,light0為東西紅燈信號(hào)輸出端,ligh

7、t1為東西黃燈信號(hào)輸出端,light2為東西綠燈信號(hào)輸出端,light3為南北紅燈信號(hào)輸出端,light4為南北黃燈信號(hào)輸出端,light5為南北綠燈信號(hào)輸出端,led1、led2、led3、led4、為數(shù)碼管地址選擇信號(hào)輸出端。</p><p><b>  4 設(shè)計(jì)方法</b></p><p>  采用文本編輯法,既采用vhdl語(yǔ)言描述交通控制器,代碼如下:<

8、/p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity traffic IS</p><p>  port (clk1k,SN:in s

9、td_logic; </p><p>  led1, led2, led3, led4 :out std_logic_vector (3 downto 0);</p><p>  --顯示管顯示時(shí)間用</p><p>  light:out std_logic_vector (5 downto 0)); --紅綠黃燈</p><

10、;p>  end traffic;</p><p>  architecture traffic1 of traffic IS</p><p>  signal S:std_logic_vector (1 downto 0); --狀態(tài)</p><p>  signal DXT:std_logic_vector(7 downto 0):=X&q

11、uot;01";</p><p><b>  --東西方向時(shí)間</b></p><p>  signal NBX:std_logic_vector(7 downto 0):=X"01"; </p><p><b>  --南北方向時(shí)間</b></p><p>  sig

12、nal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto0);--紅綠黃燈信號(hào)</p><p>  signal SL: std_logic;</p><p>  signal temp: integer range 0 to 1023; --產(chǎn)生1s計(jì)數(shù)器時(shí)計(jì)數(shù) </p><p>  signal clk: st

13、d_logic;

14、 </p><p>  begin </p><p>  sL<='1';

15、 --紅綠燈時(shí)間設(shè)定</p><p>  ART<="00100101";</p><p>  AGT<="00100000";</p><p>  AYT<="00000100";</p><p>  BRT<="00100101"

16、;</p><p>  BGT<="00100000";</p><p>  BYT<="00000100";</p><p>  process(clk1k) -- 選頻率為1024HZ</p><p><b>  begin

17、 </b></p><p>  if (clk1k'event and clk1k='1') then </p><p>  if temp=1023 then </p><p><b>  temp<=0; </b></p><p>  clk<='1';

18、 </p><p><b>  else </b></p><p>  temp<=temp+1; </p><p>  clk<='0'; </p><p><b>  end if;</b></p><p><b>  end if;

19、 </b></p><p>  end process; --進(jìn)程結(jié)束</p><p>  process(clk,DXT,NBX) --狀態(tài)轉(zhuǎn)換進(jìn)程</p><p><b>  begin</b></p><

20、;p>  if clk'event and clk ='1' then</p><p>  if(DXT ="00000001")OR (NBX = "00000001") then S<=S+1; </p><p>  else S<=S;</p><p>  end if;

21、 --狀態(tài)轉(zhuǎn)換結(jié)束</p><p><b>  end if;</b></p><p>  end process;</p><p>  process (clk,SN,S) --倒計(jì)時(shí)模塊</p><p>&l

22、t;b>  begin </b></p><p>  if SN = '1' then DXT<=DXT; NBX<=NBX;</p><p><b>  else</b></p><p>  if clk'event and clk='1' then </p&g

23、t;<p>  if (DXT="0000000") OR (NBX="00000000") then </p><p>  case S IS </p><p>  when "00"=>DXT<=ART; NBX<=BGT; --南北紅燈、東西綠燈<

24、/p><p>  when "01"=> NBX<=BYT; --南北紅燈、東西黃燈</p><p>  when "10"=>DXT<=AGT; NBX<=BRT; --南北綠燈、東西紅燈</p><p>  when "11"=>D

25、XT<=AYT; --南北黃燈、東西紅燈</p><p>  when others=>NULL;</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  if DXT/="

26、00000000" then </p><p>  if DXT(3 downto 0)= "0000" then </p><p>  DXT(3 downto 0)<="1001";</p><p>  DXT(7 downto 4)<=DXT(7 downto 4)-1;</p

27、><p>  else DXT(3 downto 0)<=DXT(3 downto 0)-1;</p><p>  DXT(7 downto 4)<=DXT(7 downto 4);</p><p><b>  end if;</b></p><p><b>  end if;</b><

28、;/p><p>  if NBX/="00000000" then </p><p>  if NBX(3 downto 0)="0000" then </p><p>  NBX(3 downto 0)<="1001";</p><p>  NBX(7 downt

29、o 4)<=NBX(7 downto 4)-1;</p><p>  else NBX(3 downto 0)<=NBX(3 downto 0)-1;</p><p>  NBX(7 downto 4)<=NBX(7 downto 4);</p><p><b>  end if;</b></p><p&g

30、t;<b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process; --倒計(jì)時(shí)模塊結(jié)束</p><p>  process(SL,DXT,NBX,

31、S,SN) --顯示模塊</p><p><b>  begin </b></p><p>  case SL IS </p><p>  when '1'=>led1<=NBX(3 downto 0);</p><p>  led2<=NBX(7 downto 4);<

32、/p><p>  led3<=DXT(3 downto 0);</p><p>  led4<=DXT(7 downto 4);</p><p>  when others=>NULL;</p><p><b>  end case;</b></p><p>  if SN =

33、9;1' then light<="001001";</p><p><b>  else </b></p><p>  case S IS </p><p>  when "00"=>light<="010001";</p><

34、;p>  when "01"=> light <="100001";</p><p>  when "10"=> light <="001010";</p><p>  when "11"=> light <="001100";&

35、lt;/p><p>  when others=>NULL;</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end traffic1;</p

36、><p><b>  5 軟件仿真</b></p><p><b>  時(shí)序仿真圖</b></p><p><b>  6 結(jié)論</b></p><p>  數(shù)碼管動(dòng)態(tài)顯示,需要通過(guò)位選、段選控制。通過(guò)查詢(xún)手冊(cè),將2位位選信號(hào)接到試驗(yàn)箱數(shù)碼管位選端,將7位段選信號(hào)連接到試驗(yàn)箱數(shù)碼管

37、的段選控制端。當(dāng)送人合適的控制信號(hào)后,數(shù)碼管即可正常工作。</p><p>  通過(guò)下載仿真后,能得到預(yù)期的實(shí)驗(yàn)結(jié)果。通過(guò)撥動(dòng)(開(kāi)關(guān)控制)為高電平,能實(shí)現(xiàn)交通燈緊急狀態(tài)(紅燈全亮)。若SN為低電平,數(shù)碼管和主南北的紅綠燈能有序亮滅。</p><p><b>  7收獲及感想</b></p><p>  短短一個(gè)星期的EDA課程設(shè)計(jì)很塊就結(jié)束了,

38、雖然在之前的學(xué)習(xí)過(guò)程中還存在著沒(méi)有弄懂的問(wèn)題,但是通過(guò)這次設(shè)計(jì),進(jìn)一步加深了對(duì)EDA的了解,讓我對(duì)它有了更加濃厚的興趣。</p><p>  在拿到題目后,首先進(jìn)行了單元模塊的設(shè)計(jì),將每一個(gè)單元模塊設(shè)計(jì)完成后再經(jīng)行仿真,在波形仿真的過(guò)程中,同樣遇到了困難,有的時(shí)候,由于END TIME的時(shí)間修改的太大,會(huì)出現(xiàn)仿真時(shí)間過(guò)長(zhǎng)的問(wèn)題,這個(gè)時(shí)候應(yīng)該要把END TIME的時(shí)間相應(yīng)的改小,或是修改系統(tǒng)時(shí)鐘的頻率。</p

39、><p>  在設(shè)計(jì)的過(guò)程中還應(yīng)該多聯(lián)系下實(shí)際情況,要了解實(shí)際情況下交通信號(hào)燈的工作情況,才能更好的完成此次的課程設(shè)計(jì)。在今后的工作和學(xué)習(xí)中,我們不能僅僅把目光停留在課本上,要多理論聯(lián)系實(shí)際。有的時(shí)候,理論上是正確的東西放到現(xiàn)實(shí)中去,可能由于種種因素的制約,并不能達(dá)到實(shí)際的效果,還需要我們進(jìn)行相應(yīng)的修改才能完成要求。這次的課程設(shè)計(jì)使我鞏固了以前學(xué)習(xí)到的知識(shí),還使我掌握了以前沒(méi)有掌握的知識(shí),同時(shí)鍛煉了自己的能力。<

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論